Logic Synthesis and Place-and-Route Environment for ORGAs

نویسندگان

  • Minoru Watanabe
  • Fuminori Kobayashi
چکیده

We have continued development of Optically Reconfigurable Gate Arrays (ORGAs) to realize larger virtual gate count VLSIs than currently available VLSIs. The grain and structure of ORGAs must be changed depending on their dynamically reconfigurable applications. Therefore, an ORGA development tool must be easily customizable for changes of the grain and structure. This paper presents an easily-customizable logic synthesis, and a place-and-route environment of ORGAs using appropriate software tools (Design Compiler and Apollo; Synopsys Inc.).

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

It’s All About Timing: From Precision RTL Synthesis to Quartus II Software

For today’s advanced FPGAs, accurate timing constraints are important to obtain optimal synthesis and place-and-route results, and play a critical role during timing analysis and verification. The Precision RTL Synthesis timing-driven synthesis engine supports detailed timing constraints such as clock characteristics and timing exceptions. The TimeQuest timing analyzer in the Altera Quartus II ...

متن کامل

What is Physical Synthesis?

VLSI technology scaling has caused interconnect delay to increasingly dominate the overall chip performance. A design that satisfies timing constraints after logic synthesis will not necessarily meet timing constraints after place-androute due to wire delays. Physical synthesis has been emerged as a necessary weapon for design closure. It is a core component of modern VLSI design methodologies ...

متن کامل

Soft Timing Closure for Soft Programmable Logic Cores: The ARGen Approach

Reconfigurable cores support post-release updates which shortens time-to-market while extending circuits’ lifespan. Reconfigurable cores can be provided as hard cores (ASIC) or soft cores (RTL). Soft reconfigurable cores outperform hard reconfigurable cores by preserving the ASIC synthesis flow, at the cost of lowering scalability but also exacerbating timing closure issues. This article tackle...

متن کامل

Timing analysis and optimization: from devices to systems (tutorial)

Timing analysis spans the entire design process from RTL synthesis to timing sign-off including schematic design, logic synthesis, floor plan, place and route, clock distribution etc. In this embedded tutorial we will cover timing analysis from devices to systems. At the transistor level we will cover static, dynamic and interconnect analysis. At the gate level we will cover path analysis inclu...

متن کامل

Structured Hardware Compilation of Parallel Programs

A major bottleneck in automatic hardware synthesis is the time to place and route the netlist produced by a hardware compiler. This paper presents a method which exploits the syntax of the source program to guide its layout in a deviceindependent manner. The technique has been used in prototyping a hardware compiler for a commercially-available device, the Algotronix CAL1024 FieldProgrammable G...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2006